Verilog expert needed

Lezárva Kiadva: 4 évvel ezelőtt Kiszállításkor fizetve
Lezárva Kiszállításkor fizetve

verilog expert needed to build a FSM.

Verilog / VHDL FPGA Villamosmérnöki munka

Projektazonosító: #24546591

A projektről

13 ajánlat Távolról teljesíthető projekt Utoljára aktív: 4 évvel ezelőtt

13 szabadúszó tett átlagosan 23$ összegű árajánlatot erre a munkára

Lightcanon

I am Digital Electronics engineer and a Teaching Assistant. I master VHDL/Verilog very well (+5 years exp) and this is my current career. Thus, I can help you the best. Example of digital design projects I finished: (F Továbbiak

$30 USD 1 napon belül
(132 vélemény)
7.7
manishkj116

hi, i have the desired skill set to describe digital circuit using verilog hardware description language. please go through my profile wherein i have listed my skills and expertise in digital VLSI design. i would like Továbbiak

$30 USD 2 napon belül
(10 vélemény)
3.7
asimahsan1990

I have over 2 years of experience with FPGA Development. The code I write will the easy to understand and efficient with LUT.

$15 USD 2 napon belül
(0 vélemény)
0.0
qammarabbas313

Dear Client, I am an Electronics Engineer. Your project caught my eye earlier today. I strongly feel that the expertise required for the successful accomplishment of the project closely matches to my skill set as ment Továbbiak

$30 USD 4 napon belül
(0 vélemény)
0.0
saadwarriach

Hi, I am a Design Engineer with a demonstrated experience in hardware design and verification using Verilog/System Verilog. I have designed a lot of state machines using Verilog, the latest was of a branch prediction Továbbiak

$15 USD 2 napon belül
(0 vélemény)
0.0
maheshj9666

I'm passionate in my work. I have a training experience in RTL design with verilog. Relevant Skills and Experience I have a great knowledge in digital circuit design concepts along with RTL design using verilog.

$20 USD 3 napon belül
(0 vélemény)
0.0
Herve2020

Je possède une expertise de plus de 10 ans en vérilog. J'ai programmé plusieurs FSM avec succès sur FPGA. Les outils comme Modelsim et Vivado permettent de valider le projet avant sa consigne. Relevant Skills and Expe Továbbiak

$35 USD 5 napon belül
(0 vélemény)
0.0
TwilightPics

Experience includes, complete front-end flow including SoC and module level RTL, Synthesis, timing constraints and timing closure, formal verification, clock domain crossing, low power static checks using conformal low Továbbiak

$20 USD 7 napon belül
(0 vélemény)
0.0
aimanedouch96

Hello sir , im a verilog expert , made many FSM projects on verilog and vhdl , contact me please for more details, be safe

$20 USD 1 napon belül
(0 vélemény)
0.0
Rushikar

Hello, I have completed my bachelor degree in Electronics and Telecommunication also I have done course in VLSI Design and Verification also I have better knowledge of designing FSM in Verilog Language. I have set of s Továbbiak

$20 USD 7 napon belül
(0 vélemény)
0.0
rs13650

I am doing my masters in vlsi design so I posses the knowledge to help you in this work. I am new to this site but I can assure you that I will do my best to complete the task. Relevant Skills and Experience - I know Továbbiak

$10 USD 2 napon belül
(0 vélemény)
0.0
u0sama

Hey I am ME in Electronics Engineering, can do your task related to verilog, I have experience of it.

$20 USD 7 napon belül
(0 vélemény)
0.0